บ้าน ส่งต่อความคิด สำรวจไฮไลท์ของการประชุมวงจรโซลิดสเตต (isscc)

สำรวจไฮไลท์ของการประชุมวงจรโซลิดสเตต (isscc)

สารบัญ:

วีดีโอ: दà¥?निया के अजीबोगरीब कानून जिनà¥?हें ज (กันยายน 2024)

วีดีโอ: दà¥?निया के अजीबोगरीब कानून जिनà¥?हें ज (กันยายน 2024)
Anonim

เราได้ยินมาบ่อยมากเกี่ยวกับกฎของมัวร์ที่ชะลอตัวเมื่อเร็ว ๆ นี้และในขณะที่สิ่งนี้ดูเหมือนจะเป็นจริงในบางกรณีในส่วนอื่น ๆ ของธุรกิจเซมิคอนดักเตอร์ก็มีความคืบหน้าอย่างต่อเนื่อง ในการประชุมนานาชาติ Solid-State Circuits (ISSCC) เมื่อสัปดาห์ที่แล้วแนวโน้มของชิปขนาดใหญ่ดูเหมือนจะมีการปรับใช้วัสดุใหม่เทคนิคใหม่และแนวคิดใหม่ ๆ เพื่อผลักดันความหนาแน่นของทรานซิสเตอร์ให้สูงขึ้นและปรับปรุงประสิทธิภาพการใช้พลังงาน แน่นอนว่านั่นไม่ใช่ข่าวจริงๆ เราเห็นสิ่งนี้สะท้อนให้เห็นในการพูดคุยเกี่ยวกับการผลิตชิปตรรกะในกระบวนการ 7nm ใหม่ในการสร้างชิป NAND 3D 512Gb และบนโปรเซสเซอร์ใหม่ที่หลากหลาย

นักออกแบบชิปกำลังพิจารณาโครงสร้างและวัสดุใหม่สำหรับทรานซิสเตอร์ดังแสดงในสไลด์ด้านบนจาก TSMC นอกจากนี้ยังมีการพูดคุยกันมากมายเกี่ยวกับเครื่องมือใหม่สำหรับการสร้างทรานซิสเตอร์รวมถึงความก้าวหน้าทางด้านการพิมพ์หินเช่น EUV และการประกอบตัวเองโดยตรงและวิธีการใหม่ในการบรรจุหลายอย่างเข้าด้วยกัน

ก่อนที่จะขุดลงไปในรายละเอียดมันก็ค่อนข้างน่าอัศจรรย์สำหรับฉันที่อุตสาหกรรมชิปมาถึงแล้วและชิปที่แพร่หลายกลายเป็นชีวิตประจำวันของเราไปได้อย่างไร Texas Instruments CTO Ahmad Bahai กล่าวในการนำเสนอของเขาว่าในปี 2015 อุตสาหกรรมขายได้เฉลี่ย 109 ชิปสำหรับทุกคนบนโลกใบนี้ คำพูดของเขามุ่งเน้นไปที่วิธีการแทนที่ตลาดที่ถูกครอบงำด้วยแอพพลิเคชั่นเดียว - พีซีเครื่องแรกแล้วโทรศัพท์มือถือ - ตอนนี้อุตสาหกรรมจะต้องให้ความสำคัญกับการ "ทำให้ทุกอย่างชาญฉลาดขึ้น" เนื่องจากชิปชนิดต่างๆ .

อุตสาหกรรมกำลังเผชิญกับความท้าทายที่ยิ่งใหญ่ จำนวน บริษัท ที่สามารถสร้างโรงงานผลิตลอจิกระดับแนวหน้าได้หดตัวลงจากยี่สิบสองจุดที่ 130nm เป็นเพียง 4 บริษัท ในปัจจุบันที่โหนด 16/14nm (Intel, Samsung, TSMC และ GlobalFoundries) ด้วยกระบวนการใหม่ เทคโนโลยีคิดต้นทุนหลายพันล้านเพื่อพัฒนาและพืชใหม่ที่คิดต้นทุนมากขึ้น แน่นอนเมื่อสัปดาห์ที่แล้ว Intel กล่าวว่าจะใช้เงิน 7 พันล้านเหรียญสหรัฐเพื่อพัฒนา 7nm ที่เปลือกของ fab ที่สร้างขึ้นเมื่อไม่กี่ปีที่ผ่านมาในรัฐแอริโซนา

ถึงกระนั้นมีการนำเสนอจำนวนมากเกี่ยวกับแผนการของ บริษัท ต่างๆที่จะย้ายไปยังกระบวนการ 10nm และ 7nm

TSMC ได้เปิดตัวกระบวนการ 10nm และชิปตัวแรกที่ประกาศคือ Qualcomm Snapdragon 835 ซึ่งจะออกในไม่ช้า TSMC อาจไกลที่สุดในเชิงพาณิชย์จริง ๆ สิ่งที่เรียกว่ากระบวนการ 7nm และที่ ISSCC มันอธิบายชิพทดสอบ 7nm SRAM ที่ใช้งานได้ สิ่งนี้จะใช้แนวคิด FinFET transistor ที่เป็นมาตรฐานในขณะนี้ แต่มีบางอย่าง วงจรไฟฟ้า เทคนิคที่ทำให้ทำงานได้อย่างน่าเชื่อถือและมีประสิทธิภาพในขนาดที่เล็กลง โดยเฉพาะอย่างยิ่ง TSMC กล่าวว่าจะผลิตชิป 7nm รุ่นแรกโดยใช้การพิมพ์หินแบบแช่ตัวแทนที่จะรอ EUV เหมือนคู่แข่งส่วนใหญ่

โปรดจำไว้ว่าสิ่งที่ผู้ผลิตรายใหญ่แต่ละรายเรียกว่า 7nm นั้นมีความแตกต่างกันอย่างมากดังนั้นในแง่ของความหนาแน่นอาจเป็นไปได้ว่ากระบวนการ TSMC 7nm จะคล้ายกับกระบวนการ 10nm ที่กำลังจะมีขึ้นของ Intel

ซัมซุงกำลังทำงานกับ 7nm และ บริษัท ได้ชี้แจงอย่างชัดเจนว่ามีแผนจะรอ EUV ในงานแสดงซัมซุงได้พูดคุยเกี่ยวกับข้อดีของการพิมพ์หิน EUV รวมถึงความคืบหน้าในการใช้เทคโนโลยี

3D NAND

การประกาศที่น่าสนใจบางส่วนนั้นครอบคลุมแฟลช NAND 3D 512Gb และแสดงให้เห็นว่าความหนาแน่นของแฟลช NAND เพิ่มขึ้นอย่างรวดเร็วเพียงใด

Western Digital (ซึ่งได้รับ SanDisk) ได้พูดคุยเกี่ยวกับอุปกรณ์แฟลช NAND 3D 512Gb ที่ประกาศก่อนการแสดงและอธิบายว่าอุปกรณ์นี้ยังคงเพิ่มความหนาแน่นของชิปดังกล่าวได้อย่างไร

ชิปนี้ใช้หน่วยความจำ 64 ชั้นและเซลล์สามบิตต่อเซลล์เพื่อเข้าถึง 512Gb บนชิ้นงานที่มีขนาด 132 ตารางมิลลิเมตร มันค่อนข้างไม่หนาแน่นเท่ากับการออกแบบของไมครอน / Intel 3D NAND ซึ่งใช้สถาปัตยกรรมที่แตกต่างกับวงจรต่อพ่วงภายใต้อาเรย์ (CuA) เพื่อเข้าถึง 768Gb บนพื้นที่ 179 ตารางมิลลิเมตร แต่มันเป็นก้าวที่ดี WD และ Toshiba กล่าวว่าสามารถปรับปรุงความน่าเชื่อถือและเพิ่มความเร็วในการอ่านได้ถึง 20 เปอร์เซ็นต์และความเร็วในการรับส่งข้อมูล 55 เมกะไบต์ต่อวินาที (MBps) นี่เป็นการผลิตแบบนำร่องและจะเริ่มผลิตในช่วงครึ่งปีหลังของปี 2560

เพื่อไม่ให้น้อยลงซัมซุงได้เปิดตัวชิป NAND 3D 64G 512Gb 3D ใหม่หนึ่งปีหลังจากที่มันแสดงอุปกรณ์ 48 ชั้น 256Gb บริษัท สร้างจุดสำคัญในการแสดงให้เห็นว่าในขณะที่ความหนาแน่นของพื้นที่ของแฟลช NAND 2 มิติเพิ่มขึ้น 26 เปอร์เซ็นต์ต่อปีจากปี 2554 ถึงปี 2559 แต่สามารถเพิ่มความหนาแน่นของพื้นที่ของแฟลช NAND 3D ได้ถึง 50 เปอร์เซ็นต์ต่อปีนับตั้งแต่เปิดตัวสามปี มาแล้ว

ชิป 512Gb ของ Samsung ซึ่งใช้เทคโนโลยีสามบิตต่อเซลล์มีขนาดตายตัว 128.5 ตารางมิลลิเมตรทำให้มีความหนาแน่นน้อยกว่าการออกแบบ WD / Toshiba เล็กน้อย แต่ก็ไม่ค่อยดีเท่าการออกแบบไมครอน / Intel Samsung ใช้เวลาส่วนใหญ่ในการพูดคุยเกี่ยวกับวิธีการใช้เลเยอร์ทินเนอร์นำเสนอความท้าทายและวิธีการสร้างเทคนิคใหม่เพื่อรับมือกับความน่าเชื่อถือและความท้าทายด้านพลังงานที่สร้างโดยการใช้เลเยอร์ทินเนอร์เหล่านี้ มันบอกว่าเวลาในการอ่านคือ 60 microseconds (149MBps อ่านตามลำดับ) และปริมาณงานที่เขียนคือ 51MBps

เห็นได้ชัดว่าค่ายแฟลช NAND ใหญ่ทั้งสามแห่งกำลังดำเนินการที่ดีและผลลัพธ์ควรมีความหนาแน่นมากขึ้นและในที่สุดหน่วยความจำก็จะถูกลง

การเชื่อมต่อใหม่

หนึ่งในหัวข้อที่ฉันได้พบที่น่าสนใจที่สุดเมื่อเร็ว ๆ นี้คือแนวคิดของสะพานเชื่อมต่อแบบ multi-die interconnect (EMIB) ซึ่งเป็นทางเลือกแทนเทคโนโลยี 2.5D อื่น ๆ ที่เรียกว่ารวมหลาย ๆ ตาย ในแพ็คเกจชิปเดียวที่มีราคาถูกกว่าเพราะไม่ต้องใช้ตัวแปลงสัญญาณซิลิกอนหรือจุดผ่านซิลิคอน ในการแสดงนั้น Intel ได้พูดคุยเกี่ยวกับเรื่องนี้เมื่ออธิบาย 14nm 1GHz FPGA ที่จะมีขนาดตายตัว 560 มม. 2 ล้อมรอบด้วยตัวรับส่งสัญญาณตาย 20nm หกเครื่องซึ่งผลิตแยกต่างหากแม้อาจเป็นเทคโนโลยีอื่น ๆ (นี่น่าจะเป็น Stratix 10 SoC.) แต่มันน่าสนใจมากขึ้นในสัปดาห์นี้เนื่องจาก Intel อธิบายว่าจะใช้เทคนิคนี้เพื่อสร้างชิปเซิร์ฟเวอร์ Xeon ที่ 7nm และรุ่นที่สามของ 10nm ได้อย่างไร

โปรเซสเซอร์ที่ ISSCC

ISSCC เห็นการประกาศจำนวนมากเกี่ยวกับโปรเซสเซอร์ใหม่ แต่แทนที่จะเน้นการประกาศชิปการเน้นคือเทคโนโลยีที่เข้าสู่การใช้งานจริงทำให้ชิปทำงานได้ดีที่สุด ฉันสนใจที่จะเห็นรายละเอียดใหม่สำหรับชิปที่คาดการณ์ไว้จำนวนมาก

ฉันคาดว่าชิป Ryzen ใหม่ที่ใช้สถาปัตยกรรม ZEN ใหม่ของ AMD จะออกวางจำหน่ายในไม่ช้าและ AMD ให้รายละเอียดทางเทคนิคมากมายเกี่ยวกับการออกแบบของคอร์ Zen และแคชต่างๆ

นี่คือชิป FinFET 14nm ที่อิงตามการออกแบบพื้นฐานประกอบด้วยคอร์คอมเพล็กซ์ที่มี 4 คอร์แคช 2MB ระดับ 2 และ 8MB แคชแคชที่เชื่อมโยง 16 ทาง 16MB บริษัท กล่าวว่าความถี่พื้นฐานสำหรับ 8-core 16 หัวข้อ เวอร์ชั่นจะเป็น 3.4GHz หรือสูงกว่าและกล่าวว่าชิปมีการปรับปรุงมากกว่า 40 เปอร์เซ็นต์ในคำแนะนำต่อรอบ (IPC) กว่าการออกแบบ AMD ก่อนหน้า

ผลที่ได้คือแกนใหม่ที่เอเอ็มดีอ้าง คือ มีประสิทธิภาพมากขึ้นกว่าการออกแบบ 14nm ของ Intel แน่นอนว่าเราจะต้องรอชิปสุดท้ายเพื่อดูประสิทธิภาพที่แท้จริง

ตามที่อธิบายไว้ก่อนหน้านี้จะมีให้บริการครั้งแรกในชิปเดสก์ท็อปที่รู้จักในชื่อ Summit Ridge และมีกำหนดจะออกภายในไม่กี่สัปดาห์ รุ่นเซิร์ฟเวอร์ที่เรียกว่าเนเปิลส์มีกำหนดออกในไตรมาสที่สองและ APU ที่มีกราฟิกรวมสำหรับแล็ปท็อปส่วนใหญ่มีกำหนดจะปรากฏในปลายปีนี้

IBM ให้รายละเอียดเพิ่มเติมเกี่ยวกับชิป Power9 ที่เดบิวต์ที่ Hot Chips ออกแบบมาสำหรับเซิร์ฟเวอร์ระดับไฮเอนด์และตอนนี้ได้รับการอธิบายว่า "เหมาะสำหรับการคำนวณทางปัญญา" เหล่านี้คือชิป 14nm ที่จะมีให้ในรุ่นทั้งสเกลเอาท์ (มี 24 คอร์ที่สามารถจัดการ 4 เธรดพร้อมกัน) หรือสเกลอัพ (ด้วย 12 คอร์ที่สามารถจัดการ 8 เธรดพร้อมกันได้) ชิปจะรองรับ CAPI (Coherent Accelerator Processor อินเทอร์เฟซ) รวมถึง CAPI 2.0 โดยใช้ลิงก์ PCIe Gen 4 ที่ 16 กิกะบิตต่อวินาที (Gbps) และ OpenCAPI 3.0 ออกแบบมาเพื่อทำงานได้สูงสุด 25Gbps นอกจากนี้มันจะทำงานร่วมกับ NVLink 2.0 สำหรับการเชื่อมต่อกับตัวเร่งความเร็ว GPU ของ Nvidia

MediaTek ให้ภาพรวมของ Helio X30 ที่กำลังจะมาถึงซึ่งเป็นโปรเซสเซอร์มือถือ 10 GHz 2.8GHz ซึ่งโดดเด่นในการเป็น บริษัท แรกที่ผลิตในกระบวนการ 10nm (น่าจะเป็นที่ TSMC)

สิ่งนี้น่าสนใจเพราะมันมีสามคอมเพล็กซ์หลักที่แตกต่างกัน: ครั้งแรกมีสองคอร์ ARM-A73 แกนที่ทำงานที่ 2.8GHz ออกแบบมาเพื่อจัดการงานหนักได้อย่างรวดเร็ว; ที่สองมี 2.5GHz A53 สี่แกนออกแบบมาสำหรับงานทั่วไปมากที่สุด และที่สามมีสี่แกน A35 2.0GHz ซึ่งใช้เมื่อโทรศัพท์ไม่ได้ทำงานหรือทำงานเบามาก MediaTek กล่าวว่าคลัสเตอร์ A53 พลังงานต่ำนั้นมีประสิทธิภาพการใช้พลังงานมากกว่าคลัสเตอร์ A73 พลังงานสูง 40% และคลัสเตอร์ A35 พลังงานต่ำพิเศษนั้นมีประสิทธิภาพพลังงานมากกว่าคลัสเตอร์พลังงานต่ำ 44%

ในงานมีเอกสารวิชาการมากมายเกี่ยวกับหัวข้อเช่นชิปที่ออกแบบมาเป็นพิเศษสำหรับการเรียนรู้ของเครื่อง ฉันแน่ใจว่าเราจะเห็นความสำคัญมากขึ้นในการดำเนินการนี้ตั้งแต่ GPU ไปจนถึงตัวประมวลผลแบบขนานที่ออกแบบมาเพื่อจัดการกับการประมวลผล 8 บิตไปจนถึงชิป neuromorphic และ ASIC ที่กำหนดเอง มันเป็นสนามที่เพิ่งเกิดใหม่ แต่มีสนามหนึ่งที่ได้รับความสนใจอย่างน่าทึ่งในขณะนี้

ยิ่งไปกว่านั้นความท้าทายที่ยิ่งใหญ่ที่สุดอาจจะเปลี่ยนเป็นการคำนวณควอนตัมซึ่งเป็นวิธีการคำนวณที่แตกต่างไปจากเดิมอย่างสิ้นเชิง ในขณะที่เราเห็นการลงทุนเพิ่มขึ้นมันก็ดูเหมือนจะเป็นหนทางไกลจากการเป็นเทคโนโลยีหลัก

ในระหว่างนี้เราสามารถรอคอยชิปใหม่ ๆ

Michael J. Miller เป็นประธานเจ้าหน้าที่ฝ่ายสารสนเทศของ Ziff Brothers Investments บริษัท ลงทุนเอกชน มิลเลอร์ซึ่งเป็นหัวหน้าบรรณาธิการของ นิตยสาร PC ตั้งแต่ปี 1991 ถึง 2005 ได้เขียนบล็อกนี้สำหรับ PCMag.com เพื่อแบ่งปันความคิดของเขาเกี่ยวกับผลิตภัณฑ์ที่เกี่ยวข้องกับพีซี คำแนะนำการลงทุนจะไม่นำเสนอในบล็อกนี้. หน้าที่ทั้งหมดได้รับการปฏิเสธ มิลเลอร์ทำงานแยกต่างหากสำหรับ บริษัท การลงทุนภาคเอกชนซึ่งอาจลงทุนใน บริษัท ที่มีการพูดคุยผลิตภัณฑ์ในบล็อกนี้ได้ตลอดเวลาและจะไม่มีการเปิดเผยการทำธุรกรรมหลักทรัพย์

สำรวจไฮไลท์ของการประชุมวงจรโซลิดสเตต (isscc)