บ้าน ส่งต่อความคิด เวเฟอร์ 450 มม. เป็นอนาคตของการทำชิปหรือไม่

เวเฟอร์ 450 มม. เป็นอนาคตของการทำชิปหรือไม่

วีดีโอ: Faith Evans feat. Stevie J – "A Minute" [Official Music Video] (กันยายน 2024)

วีดีโอ: Faith Evans feat. Stevie J – "A Minute" [Official Music Video] (กันยายน 2024)
Anonim

เบื้องหลังอุปกรณ์ใหม่ทั้งหมดและแอพพลิเคชั่นสุดเจ๋งที่เราเรียกใช้อยู่โปรเซสเซอร์โปรเซสเซอร์หน่วยความจำและส่วนประกอบอื่น ๆ ที่ทำให้ระบบทำงานได้ และที่อยู่เบื้องหลังสิ่งนั่นคือเทคโนโลยีกระบวนการเซมิคอนดักเตอร์ - การออกแบบที่ซับซ้อนเครื่องมือวัสดุและขั้นตอนการประมวลผลที่จำเป็นในการสร้างทรานซิสเตอร์ที่ใช้งานได้มีขนาดเล็กจน 4, 000 คนสามารถพอดีกับความกว้างของเส้นผมมนุษย์และประกอบพันล้านในชิป ไม่ใหญ่ไปกว่าเล็บมือของคุณ

จาก Semicon West ของสัปดาห์ที่แล้วงานแสดงประจำปีที่มุ่งเน้นเทคโนโลยีการผลิตซึ่งตรงข้ามกับโปรเซสเซอร์หรืออุปกรณ์สำหรับผู้ใช้ดูเหมือนว่าอุตสาหกรรมทั้งหมดจะย้ายการผลิตใหม่เป็นเวเฟอร์ 450 มม. เริ่มในอีกห้าปีถัดไป .

ทุกวันนี้โปรเซสเซอร์และหน่วยความจำที่สำคัญเกือบทั้งหมดผลิตจากเวเฟอร์ 300 มม. หรือประมาณ 12 นิ้ว แต่ผู้ผลิตชิปรายใหญ่ได้พูดคุยกันมานานหลายปีเกี่ยวกับการเปลี่ยนไปใช้เทคโนโลยีเวเฟอร์ 450 มม. - เวเฟอร์ประมาณ 18 นิ้ว - เนื่องจากเวเฟอร์ขนาดใหญ่เหล่านี้สามารถเก็บจำนวนชิปได้มากกว่าสองเท่า แต่หวังว่าจะมีต้นทุนน้อยกว่าสองเท่า . จนกระทั่งเมื่อเร็ว ๆ นี้ผู้ผลิตอุปกรณ์หลายรายได้ลากเท้าของพวกเขาเพราะการเคลื่อนไหวครั้งใหญ่ครั้งสุดท้ายจาก 200 มม. ถึง 300 มม. ทำให้พวกเขาเสียค่าใช้จ่ายจำนวนมากในการวิจัยและพัฒนาด้วยการแสดงที่ค่อนข้างน้อย แต่ตอนนี้ดูเหมือนว่าเกือบทุกคนจะได้รับความคิด

ในการประชุม Paul A. Farrar ผู้จัดการทั่วไปของ Global 450 Consortium ซึ่งเป็นกลุ่ม บริษัท ผลิตสารกึ่งตัวนำชั้นนำ ได้แก่ GlobalFoundries, Intel, IBM, Samsung และ TSMC มีสำนักงานใหญ่อยู่ที่วิทยาลัยวิทยาศาสตร์และวิศวกรรมนาโนในอัลบานีแสดงให้เห็นว่า แผนงานที่รวมการสาธิต 450 มม. ใน 14nm ในปี 2556 ถึง 2558 พร้อมอุปกรณ์สำหรับผู้ผลิตชิปที่ 10nm และสูงกว่าในปี 2558-2559

ผู้ผลิตรายใหญ่ทั้งหมดคุยกันเรื่องเครื่องมือ 450 มม. Nikon กล่าวว่าได้รับคำสั่งซื้อจาก G450 Consortium สำหรับเครื่องสแกนแบบแช่แข็ง Armm 450mm 193nm เพื่อใช้ในการพัฒนากระบวนการและกล่าวว่าได้รับคำสั่งซื้อจากผู้ผลิตอุปกรณ์รายใหญ่ที่ไม่มีชื่อ ASML กล่าวว่าจะมีการจัดส่งหินอุลตร้าไวโอเลตอัลตราไวโอเลต (EUV) 450 มม. และเครื่องมือแช่ในเวลาเดียวกัน Canon แสดงให้เห็นสิ่งที่กล่าวว่าเป็นเวเฟอร์ 450 มมที่มีลวดลายแบบออพติคัลในขณะที่ Molecular Imprints แสดงผลลัพธ์สำหรับเวเฟอร์ 450 มมที่มีลวดลายโดยใช้การพิมพ์หินนาโน - สำนักพิมพ์

สิ่งหนึ่งที่ดูเหมือนว่าจะผลักดันให้เกิดการเปลี่ยนแปลงนี้คือต้นทุนการผลิตที่เพิ่มขึ้นที่โหนดขนาดเล็ก ในขณะที่อุตสาหกรรมได้พูดคุยเกี่ยวกับการพิมพ์หิน EUV เป็นเวลาหลายปีและโดยเฉพาะอย่างยิ่ง ASML ได้รับการอ้างถึงการปรับปรุง แต่ก็ยังไม่พร้อมสำหรับการผลิตเนื่องจากเครื่องมือในปัจจุบันไม่อนุญาตให้ใช้ความเร็วและปริมาณที่ผู้ผลิตต้องการ แหล่งพลังงาน ASML กล่าวว่าขณะนี้มีระบบ EUV 11 ระบบและมีแผนสำหรับเครื่องมือรุ่นใหม่ที่มีแหล่งพลังงานที่ดีกว่า แต่ไม่มีใครทำการผลิตเต็มรูปแบบกับ EUV เพราะเครื่องมือไม่รวดเร็วและเชื่อถือได้เพียงพอ

ผู้ผลิตกำลังใช้เครื่องมือแช่แบบ 193nm ในปัจจุบันและที่ 20nm และต่ำกว่านั้นพวกเขาจะถูกบังคับให้ใช้เครื่องมือสองครั้งบนชั้นที่สำคัญของแผ่นเวเฟอร์เพื่อให้ได้ความแม่นยำที่ต้องการ การทำแพทเทิร์นสองครั้ง - และการทำแพทเทิร์นแบบสี่เหลี่ยม - เพิ่มเวลาและค่าใช้จ่ายในการผลิตแผ่นเวเฟอร์

ในฐานะที่เป็นผู้บริหาร GlobalFoundries CEO Ajit Manocha กล่าวไว้ในประเด็นสำคัญค่าใช้จ่ายในการพิมพ์หินเริ่มต้นที่จะครองต้นทุนการผลิตแผ่นเวเฟอร์ทั้งหมด ด้วยการสแกนหลายรูปแบบบนเครื่องสแกนแบบจุ่มสิ่งนี้จะยิ่งแย่ลงไปอีก "เราต้องการ EUV อย่างยิ่งและ EUV ยังไม่พร้อม" เขากล่าว

ในพื้นที่อื่น ๆ Manocha พูดคุยเกี่ยวกับความต้องการนวัตกรรมโรงหล่อในยุคการเคลื่อนย้ายพูดคุยทุกอย่างตั้งแต่กระบวนการ FinFET 14XM ของ บริษัท ไปจนถึงเทคนิคอื่น ๆ เช่น FD-Soi, nanowires และเซมิคอนดักเตอร์สารประกอบ III-V (ชิปหลักที่ใช้วัสดุแปลกใหม่มากขึ้น ) ที่น่าสนใจเขากล่าวถึงความเป็นไปได้ในการย้ายไปสู่ ​​III-V FinFETs ในปี 2560 สำหรับ 7nm แม้ว่ามันจะไม่ได้ฟังเหมือนคำมั่นสัญญาที่เฉพาะเจาะจง

เขากล่าวว่าความท้าทายที่ใหญ่ที่สุดที่อุตสาหกรรมกำลังเผชิญคือความท้าทายทางเศรษฐกิจ ที่โหนด 180nm มีเพียง 15 mask layer เท่านั้น ที่โหนด 20nm / 14nm มีมากกว่า 60 เลเยอร์มาสก์และแต่ละเลเยอร์มีโอกาสมากขึ้นสำหรับความล้มเหลวหนึ่งในนั้นสามารถทำให้เวเฟอร์ทั้งหมดไม่สามารถใช้งานได้ "ทั้งหมดนี้เป็นสิ่งที่เพิ่มขึ้นอย่างแท้จริง" เขากล่าวแสดงให้เห็นว่าต้นทุนการออกแบบชิปที่ 130nm (ซึ่งเป็นเรื่องปกติในขอบชั้นนำเมื่อสิบปีที่แล้วและยังคงใช้งานโดยชิปที่ต่อท้าย) อยู่ที่ $ 15 ล้าน ; ที่ 20nm เป็น $ 150 ล้าน ในทำนองเดียวกันค่าใช้จ่ายในการออกแบบกระบวนการเพิ่มขึ้นจาก 250 ล้านเหรียญสหรัฐเป็น 1.3 พันล้านดอลลาร์และค่าใช้จ่ายในการผลิตชิปเพิ่มขึ้นจาก 1.45 พันล้านดอลลาร์เป็นประมาณ 6.7 พันล้านดอลลาร์ในปัจจุบัน

เพื่อต่อสู้กับสิ่งนี้ผู้ค้าเครื่องมือรายอื่นกำลังพูดถึงเทคนิคที่นอกเหนือจากการพิมพ์หินเช่นการซ้อนชิปด้วยการวางผ่านซิลิคอน (TSVs) ที่ออกแบบมาเพื่อผลิตชิปหลายชั้น และเครื่องมือใหม่สำหรับการสะสมและกำจัดวัสดุ บริษัท ต่างๆรวมถึงวัสดุประยุกต์การวิจัย LAM โตเกียวอิเลคตรอนและ KLA-Tencor กำลังผลักดันโซลูชั่นของพวกเขา

ในข่าวอื่น ๆ จากการแสดง Karen Savala ประธาน SEMI Americas ได้พูดคุยเกี่ยวกับ "ยุคฟื้นฟูศิลปวิทยา" ของการผลิตของสหรัฐและบทบาทของอุตสาหกรรมเซมิคอนดักเตอร์โดยกล่าวว่าอุตสาหกรรมนี้มีตำแหน่งงานโดยตรง 245, 000 ตำแหน่งและประมาณหนึ่งล้านตำแหน่งงานทั้งหมดใน ห่วงโซ่อุปทานของสหรัฐ

SEMI คาดว่าการใช้จ่ายอุปกรณ์จะลดลงเล็กน้อยในปีนี้ตามมาด้วยการเพิ่มขึ้นร้อยละ 21 ในปีหน้าเนื่องจากส่วนใหญ่จะใช้จ่ายโรงหล่ออย่างต่อเนื่องสำหรับการผลิต 20nm โรงงานผลิตแฟลช NAND แฟลชใหม่เพิ่มขึ้นและการอัพเกรดของ Intel ในไอร์แลนด์

เวเฟอร์ 450 มม. เป็นอนาคตของการทำชิปหรือไม่