บ้าน ส่งต่อความคิด คำมั่นสัญญาและความท้าทายของ euv ที่ globalfoundries

คำมั่นสัญญาและความท้าทายของ euv ที่ globalfoundries

สารบัญ:

วีดีโอ: Inside The Worlds Largest Semiconductor Factory - BBC Click (กันยายน 2024)

วีดีโอ: Inside The Worlds Largest Semiconductor Factory - BBC Click (กันยายน 2024)
Anonim

หนึ่งในเหตุผลที่ฉันรู้สึกสนใจที่จะเยี่ยมชม GlobalFoundries เมื่อต้นเดือนนี้คือโอกาสที่จะได้เห็นเครื่องพิมพ์หิน EUV ในสถานที่และเพื่อทราบว่า บริษัท มีแผนการใช้งานอย่างไร

ไม่นานมานี้ฉันมีโอกาสไปเยี่ยมชมโรงงานในรัฐคอนเนตทิคัตที่ ASML สร้างส่วนประกอบหลายอย่างสำหรับเครื่อง EUV เครื่องมือขนาดใหญ่เหล่านี้ใช้แสงอัลตราไวโอเลต (EUV) ที่ส่องผ่านหน้ากากเพื่อวิเคราะห์เส้นสำหรับคุณสมบัติขนาดเล็กของชิปและเป็นเครื่องจักรที่ซับซ้อนที่สุดในโลก พวกมันถูกออกแบบมาเพื่อใช้แทนเครื่องพิมพ์หินแช่มาตรฐานที่ใช้แสงที่มีความยาวคลื่น 193nm ในบางขั้นตอนของกระบวนการสร้างเศษ

ในการสรุปเครื่อง EUV นั้นซับซ้อนอย่างไม่น่าเชื่อ ตามที่ George Gomba รองประธานฝ่ายวิจัยเทคโนโลยีสำหรับ GlobalFoundries อธิบายว่ากระบวนการดังกล่าวเริ่มต้นด้วยเลเซอร์ CO2 ขนาด 27 กิโลวัตต์ที่ยิงผ่านการขนส่งด้วยลำแสงและระบบการโฟกัสลงบนหยดดีบุกขนาดเล็ก (เส้นผ่าศูนย์กลางประมาณ 20 ไมครอน) ที่ผลิตโดยเครื่องกำเนิดหยด ในเรือพลาสม่า พัลส์แรกจะแบนหยดและหยดที่สองนั้นจะทำให้เกิดการระเหยของพลาสม่า (LPP) โฟตอน EUV ที่ถูกปล่อยออกจากพลาสม่าถูกเก็บรวบรวมโดยกระจกพิเศษที่สะท้อนแสงความยาวคลื่น 13.5nm และการแผ่รังสีนั้นถูกส่งไปยังจุดโฟกัสกลางที่จะเข้าสู่เครื่องสแกนและฉายผ่านหน้ากากบนแผ่นซิลิคอนเวเฟอร์ Gomba ซึ่งทำงานนอกโรงงานของ Albany Nanotech กล่าวว่าเขาได้ทำงานกับระบบ EUV ก่อนการผลิตมาตั้งแต่ปี 2556 และตอนนี้คาดว่า EUV จะสามารถผลิตได้เต็มรูปแบบที่ GlobalFoundries ในช่วงครึ่งหลังของปี 2019

เครื่องมือเหล่านี้ซับซ้อนมากจนต้องใช้เวลาเป็นเดือนเพื่อให้พร้อมสำหรับการเริ่มต้นการผลิต ที่ Fab 8 ของ บริษัท ในมอลตานิวยอร์กฉันเห็นเครื่องมือ EUV สองตัวแรกที่ติดตั้ง อันหนึ่งใกล้จะเสร็จสมบูรณ์และอีกอันอยู่ระหว่างการผลิตและยังมีอีกสองห้อง

การรับเครื่องมือ EUV ในอาคารนั้นเป็นการดำเนินการที่ซับซ้อน Fab หลักถูกปิดผนึกครั้งแรก; จากนั้นมีการติดตั้งปั้นจั่นบนเพดานและมีรูเจาะด้านข้างของอาคารเพื่อย้ายระบบใหม่ขนาดใหญ่ภายใน แน่นอนว่าต้องเชื่อมต่อกับเครื่องมืออื่น ๆ ในโรงงาน สิ่งนี้เกี่ยวข้องกับการทำงานทั้งใน sub-fab ซึ่งจะต้องตั้งค่าสำหรับเครื่องมือต้นทางที่สร้างเลเซอร์ที่ใช้ในกระบวนการเช่นเดียวกับในคลีนรูม ทุกอย่างจะต้องทำในขณะที่รักษาส่วนที่เหลือของ fab ทำงานด้วยความเร็วเต็ม

Tom Caulfield, SVP และผู้จัดการทั่วไปของ Fab 8 เปรียบเทียบกับ "การผ่าตัดหัวใจขณะวิ่งมาราธอน"

สถานะของ EUV และสิ่งที่ยังต้องแก้ไข

Gary Patton, CTO และ SVP ของ R&D ทั่วโลกสำหรับ GlobalFoundries กล่าวว่า 7nm จะอยู่ในการผลิตความเสี่ยงที่ Fab 8 ในปีนี้และการผลิตเต็มรูปแบบในปีหน้าโดยใช้การพิมพ์หินแบบ immersion และ quad pattern แต่ไม่ใช่ EUV การทำหลายรูปแบบใช้เวลานานขึ้นเนื่องจากเกี่ยวข้องกับขั้นตอนมากขึ้นและปัญหาอาจเกิดขึ้นเนื่องจากการจัดแนวที่แม่นยำมากที่จำเป็นในแต่ละขั้นตอน แต่เครื่องมือพิมพ์หินเหล่านี้เป็นเรื่องปกติที่เข้าใจและพร้อมในปัจจุบัน แผนจะเสนอรุ่นของกระบวนการ 7nm ในภายหลังโดยใช้เครื่องมือ EUV ใหม่

EUV นั้น "ยังไม่พร้อมในวันนี้" Patton กล่าวโดยอ้างถึงปัญหาเกี่ยวกับแหล่งพลังงานต้านทานวัสดุและมาสก์โดยเฉพาะอย่างยิ่งกับการพัฒนาของ pellicle ที่เหมาะสม (ฟิล์มบาง ๆ ที่ผ่านหน้ากากหรือเส้นเล็ง)

ปัจจุบันเครื่อง EUV ยังไม่เร็วนักโดยวิศวกรรายหนึ่งอธิบายว่าพวกเขาสามารถผลิตเวเฟอร์ได้ประมาณ 125 เวเฟอร์ต่อชั่วโมงเทียบกับเวเฟอร์ 275 ต่อชั่วโมงสำหรับการพิมพ์หินแบบแช่ พวกเขาสามารถประหยัดเวลาได้จริงเพราะถ้ากระบวนการลดจำนวนรอบสำหรับการทำหลายรูปแบบไม่เพียง แต่ช่วยประหยัดขั้นตอนในการพิมพ์หิน แต่ยังรวมถึงการแกะสลักและการเตรียมการ ดังนั้น EUV ควรจะเสียค่าใช้จ่ายน้อยลงเมื่อพร้อมแล้ว Caulfield กล่าว

Gomba ตั้งข้อสังเกตว่าแนวคิดนี้ไม่เพียง แต่จะลดการพิมพ์ภาพด้วยแสงแบบ 3 หรือ 4 ชั้นเท่านั้น แต่ยังเพื่อลดขั้นตอนอื่น ๆ อีกมากมายเช่นกันเนื่องจากในแต่ละขั้นตอนของการพิมพ์หินนั้นมีการแกะสลักและการประมวลผลอื่น ๆ เป้าหมายของ Gomba กล่าวคือลดระยะเวลาการทำงานลงได้มากถึง 30 วัน

จุดครอสโอเวอร์น่าจะเป็นรูปแบบสี่เหลี่ยม แต่ขึ้นอยู่กับผลตอบแทน (ซึ่งควรปรับปรุงเนื่องจากขั้นตอนการพิมพ์หิน EUV ควรมีความแปรปรวนน้อยกว่าขั้นตอนการพิมพ์หินหลายแบบ) และการปรับปรุงรอบเวลา EUV ควรเปิดใช้งานผู้ออกแบบชิปให้ทำงานภายใต้เงื่อนไขที่ จำกัด น้อยกว่ามาก

แต่เขาก็สังเกตเห็นว่ามีบางประเด็นที่จะแก้ไขโดยเฉพาะอย่างยิ่งเมื่อมันมาถึงหนัง วิศวกรอีกคนอธิบายว่าการแผ่รังสี 13.5nm ที่ใช้โดย EUV นั้นถูกดูดซับเกือบทุกอย่างดังนั้นการตกแต่งภายในของเครื่องต้องเป็นสุญญากาศ ด้วย EUV พลังงานส่วนใหญ่ไม่ผ่านเส้นเล็ง (มาสก์) แต่จะทำให้ร้อนขึ้นแทน ฝ้าจะช่วยปกป้องหน้ากาก แต่ยังคงต้องทำงานเพื่อปรับปรุงปริมาณของแสงที่ต้องผ่านฝ้า (การส่งผ่าน) รวมถึงการยืดอายุของฝ้า สิ่งนี้จะส่งผลกระทบต่อปริมาณงานรวมถึงอายุการใช้งานที่ยาวนานของหน้ากากและระยะเวลาการทำงานของเครื่องโดยรวม

เป็นผลให้แพ็ตตันกล่าวว่า บริษัท จะเริ่มหดตัว 7nm กับ EUV ซึ่งส่วนใหญ่จะใช้สำหรับการติดต่อและจุดอ่อน เพียงอย่างเดียวนี้อาจเพิ่มความหนาแน่นได้ 10 ถึง 15 เปอร์เซ็นต์โดยไม่ต้องลงทุนออกแบบขนาดใหญ่ เมื่อปัญหาได้รับการแก้ไขแพ็ตตันกล่าวว่า EUV สามารถและจะใช้ในชั้นอื่น ๆ อีกมากมาย (Joel Hruska จาก ExtremeTech ซึ่งเคยร่วมทัวร์ด้วยมีรายละเอียดเพิ่มเติมที่นี่)

Patton กล่าวว่า ASML ควรได้รับ "เครดิตมหาศาล" สำหรับการผลักดัน EUV เท่าที่มีอยู่และกล่าวว่ามันเป็น "ความสำเร็จทางวิศวกรรมที่เหลือเชื่อ" เมื่อถูกถามว่า GlobalFoundries มีความมุ่งมั่นที่จะทำ EUV จริงหรือไม่ Caulfield ตอบว่า บริษัท ได้ทำการลงทุน $ 600 ล้านซึ่งหมายความว่า "ต้องทำ"

FDX และแผนงานสำหรับการสร้างรายได้ในอนาคต

ในการถกเถียงกันอย่างกว้างขวางเกี่ยวกับตำแหน่งที่การผลิตเศษกระดาษ Patton ผู้ซึ่งใช้เวลาทำงานอย่างยาวนานในการทำงานกับเทคโนโลยีชิปสำหรับ IBM อธิบายว่าแนวคิดนี้เปลี่ยนแปลงไปอย่างไรเมื่อเราไปถึงจุดสิ้นสุดของกฎของมัวร์ เขาตั้งข้อสังเกตว่าในช่วงปีแรก ๆ ของการผลิตชิปมันเป็นเรื่องเกี่ยวกับการปรับขนาดระนาบของซิลิคอน CMOS จากนั้นในช่วงปี 2543-2553 โฟกัสได้เปลี่ยนไปใช้วัสดุใหม่ ตอนนี้การโฟกัสส่วนใหญ่อยู่บนทรานซิสเตอร์ 3 มิติ (FinFETs ที่ใช้ในกระบวนการที่ทันสมัยที่สุดในปัจจุบัน) และการซ้อน 3D

ในปี 2563 เขากล่าวว่าเราจะไปถึงขีด จำกัด ของขนาดอะตอมดังนั้นเราจะต้องมุ่งเน้นไปที่วิธีการสร้างสรรค์อื่น ๆ รวมถึงวิธีการใหม่ในการออกแบบทรานซิสเตอร์ (เช่น nanowires แทนที่ FinFETs), วัสดุพื้นผิวชนิดใหม่ (เช่นครบ เทคโนโลยี Silicon-on-Insulator ที่ลดลง GlobalFoundries กำลังพัฒนา); หรือการรวมระดับใหม่ของระบบ (เช่นบรรจุภัณฑ์ขั้นสูงซิลิคอนโฟโตนิกส์และหน่วยความจำในตัว)

GlobalFoundries มีแผนที่ถนนสองแห่งที่ทำงานอยู่ Patton กล่าว อุปกรณ์ตัวแรกขึ้นอยู่กับเทคโนโลยี FinFET ปัจจุบันและออกแบบมาสำหรับอุปกรณ์ประสิทธิภาพสูง ที่ GlobalFoundries สิ่งนี้หมายถึงการย้ายจากกระบวนการ 14nm ปัจจุบันไปเป็นการแก้ไขกระบวนการที่กำลังเรียก 12nm จากนั้นในปีนี้ก็จะเรียกว่า 7nm Patton กล่าวว่าสิ่งนี้ควรจะเหมาะสมที่สุดสำหรับตัวประมวลผลแอปพลิเคชั่นมือถือและซีพียูประสิทธิภาพสูงและ GPUS โดย GlobalFoundries สัญญาว่าจะปรับปรุงประสิทธิภาพอุปกรณ์ให้ดีขึ้น 40 เปอร์เซ็นต์และลดการใช้พลังงานโดยรวม 60% เมื่อเทียบกับกระบวนการ 14nm ควรลดค่าใช้จ่ายโดยประมาณ 30 เปอร์เซ็นต์ให้เท่ากับ 45% เมื่อเทียบกับรุ่นก่อนหน้า

ในส่วนของแผนงานนี้ GlobalFoundries อยู่ในเส้นทางที่คล้ายกันเมื่อเทียบกับแผนการพัฒนาของคู่แข่งเช่น TSMC หรือ Samsung

แต่สำหรับแอพพลิเคชั่นอื่น ๆ บริษัท มุ่งเน้นไปที่สิ่งที่เรียกว่า FDX ซึ่งเป็นแบรนด์สำหรับเทคโนโลยีซิลิกอน - ออน - อินซูเลเตอร์ นี่คือเทคโนโลยีระนาบซึ่งหมายความว่ามันไม่ได้ใช้ทรานซิสเตอร์ 3 มิติและ Patton กล่าวว่าเป็นโซลูชั่นที่คุ้มค่ามากขึ้นสำหรับโปรเซสเซอร์มือถือระดับล่างและระดับกลางรวมถึงโปรเซสเซอร์สำหรับ Internet of Things และยานยนต์จำนวนมาก การใช้งาน ในขณะที่การวิจัยบางอย่างเกี่ยวกับเรื่องนี้กำลังเกิดขึ้นที่มอลต้ากระบวนการ FDX ส่วนใหญ่จัดขึ้นที่เดรสเดนประเทศเยอรมนี งานปัจจุบันของกระบวนการนี้คือสิ่งที่ GlobalFoundries เรียกว่าโหนด 22nm FDX มีกำหนดจะย้ายไปยังกระบวนการ 12nm ในปีหน้า

Caulfield ตั้งข้อสังเกตว่า "การหดตัวไม่เพียงพอ" และเพื่อไปยังโหนดถัดไป GlobalFoundries ยังมีประสิทธิภาพที่เพิ่มขึ้นและนำมูลค่าที่แท้จริงมาสู่ลูกค้า เขาตั้งข้อสังเกตว่า บริษัท ข้าม 20nm และสิ่งที่คนอื่นเรียก 10nm เพื่อมุ่งเน้นไปที่ 7nm และบอกว่าโหนดนี้มีการลดต้นทุนโดยตรง 30 ถึง 45 เปอร์เซ็นต์เมื่อเทียบกับ 14nm ชดเชยบางส่วนโดยความต้องการหน้ากากเพิ่มเติมสำหรับขั้นตอนเพิ่มเติมที่จำเป็น การเลียนแบบ

Caulfield ตั้งข้อสังเกตว่ามากกว่าครึ่งหนึ่งของรายได้ของ บริษัท ยังคงอยู่ในโหนดกระบวนการเก่าเช่น 28 และ 40nm โหนด โรงงานในสิงคโปร์ของ บริษัท มุ่งเน้นไปที่กระบวนการ 40nm และกระบวนการที่เก่ากว่าและ Dresden ผลิตใน 22nm และที่เก่ากว่า ในขณะเดียวกันทุกอย่างที่ Malta ให้ความสำคัญกับกระบวนการ 14nm และใหม่กว่า

ใน 7nm, Caulfield กล่าวว่า บริษัท ต้องการเป็น "ผู้ติดตามที่รวดเร็ว" ในขณะที่ FDX มันต้องการที่จะเป็นปัจจัย "ทำลาย" ในตลาด

Patton ตั้งข้อสังเกตว่า GlobalFoundries พบชิปทดสอบ 7nm ในปี 2558 ซึ่งพัฒนาร่วมกับพันธมิตรของ IBM และ Albany NanoTech Complex ที่ 5nm บริษัท ได้พูดคุยเกี่ยวกับทรานซิสเตอร์ nanosheets หรือเกตทุกรอบและมุ่งเน้นไปที่การสื่อสารภายในโมดูลโดยใช้บรรจุภัณฑ์ 2.5D และ 3D ชิปบนตัวแทรกซิลิคอนเพื่อเชื่อมต่อลูกบาศก์หน่วยความจำตายและลูกผสมที่แตกต่างกัน กับคู่ค้าของมันมันแสดงให้เห็นถึงชิปทดสอบ 5nm ปีที่แล้ว

เป็นเวลาหลายปีที่ฉันรู้สึกประทับใจกับอุตสาหกรรมชิปชิปที่สามารถปรับปรุงได้ มันยากที่จะนึกถึงอุตสาหกรรมอื่นที่ก้าวไปไกลและเร็วมากและการทำงานของผู้ผลิตเครื่องมือเช่น ASML และ fabs เช่น GlobalFoundries นั้นเหลือเชื่อมาก ความท้าทายที่พวกเขาเผชิญกับการตระหนักถึงชิปที่เร็วขึ้นและการออกแบบที่หนาแน่นขึ้นนั้นยากขึ้นเรื่อย ๆ แต่การเยี่ยมชมของฉันทำให้ฉันนึกถึงทั้งความซับซ้อนของกระบวนการที่ล้ำสมัยที่เกี่ยวข้องและความคืบหน้าที่เรายังคงเห็นต่อไป

มีโอกาสมากที่คุณจะแนะนำ PCMag.com
คำมั่นสัญญาและความท้าทายของ euv ที่ globalfoundries